주식스터디

집적회로(VLSI)의 설계 과정 ALTERA MAX+PLUS Ⅱ를 사용한 디지털 논리회로 설계〔VHDL편〕 본문

▶ 오늘의 주식/

집적회로(VLSI)의 설계 과정 ALTERA MAX+PLUS Ⅱ를 사용한 디지털 논리회로 설계〔VHDL편〕

주식스터디주식스터디 2015. 10. 3. 14:04

집적회로(VLSI)의 설계 과정 ALTERA MAX+PLUS Ⅱ를 사용한 디지털 논리회로 설계〔VHDL편〕.hwp



본문
VLSI 설계
전반부 : Gate Level 설계
후반부 : Layout 데이터 생성

최근의 설계
전반부 : 동작적 설계(Behavioral Design) > Gate Level 설계
후반부 : Layout 데이터 생성



하고 싶은 말
참고자료로 활용하셔서 좋은 결과 있기를 바랍니다.

Comments